Publications 

Notable Publications 

(A full publication list is attached after the notable publication list below)

Link

(VLSI'22) i-FlatCam: A 253 FPS, 91.49 μJ/Frame Ultra-Compact Intelligent Lensless Camera System for Real-Time and Efficient Eye Tracking in VR/AR 

Y. Zhao, Z. Li, H. You, Y. Fu, Y. Zhang, C. Li, V. Boominathan, A. Veeraraghavan, and Y. Lin

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI), 2022

VLSI'22 | Paper | Video | Demo | Slides

(ISCA'22) EyeCoD: Eye Tracking System Acceleration via FlatCam-Based Algorithm and Accelerator Co-Design 

H. You*, C. Wan*, Y. Zhao*, Z. Yu*, Y. Fu, C. Li, S. Zhang, S. Wu, J. Yuan, Y. Zhang, V. Boominathan, A. Veeraraghavan, Z. Li, and Y. Lin (*Co-first author)

49th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2022

Selected as IEEE Micro Top Picks in Computer Architecture Conferences in 2023

ISCA'22 | Paper | Slides

(ISCA'20) SmartExchange: Trading Higher-cost Memory Storage/Access for Lower-cost Computation

Y. Zhao*, X. Chen*, Y. Wang, C. Li, H. You, Y. Fu, Y. Xie, Z. Wang, and Y. Lin (*Co-first author)

47th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2020

ISCA'20 | Paper | Video | Slides

(ICASSP'20) DNN-Chip Predictor: An Analytical Performance Predictor for DNN Accelerators with Various Dataflows and Hardware Architectures 

Y. Zhao, C. Li, Y. Wang, P. Xu, Y. Zhang, and Y. Lin

45th IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), 2020

ICASSP'20 | Paper | Slides

Full Publication List 

Link

First-Authored Publications

Y. Zhao, S. Wu, J. Zhang, S. Li, C. Li, and Y. Lin 

60th ACM/IEEE Design Automation Conference (DAC), 2023 

DAC'23 | Paper

C. Li*, S. Li*, Y. Zhao*, W. Zhu, and Y. Lin

(*Co-first author)

41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022 

ICCAD'22 | Paper | Project Page

H. You*, C. Wan*, Y. Zhao*, Z. Yu*, Y. Fu, C. Li, S. Zhang, S. Wu, J. Yuan, Y. Zhang, V. Boominathan, A. Veeraraghavan, Z. Li, and Y. Lin

(*Co-first author)

49th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2022

Selected as IEEE Micro Top Picks in Computer Architecture Conferences in 2023

ISCA'22 | Paper | Slides

Y. Zhao, Z. Li, H. You, Y. Fu, Y. Zhang, C. Li, V. Boominathan, A. Veeraraghavan, and Y. Lin

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI), 2022

VLSI'22 | Paper | Video | Demo | Slides

Y. Zhao, Y. Zhang, Y. Fu, X. Ouyang, C. Wan, S. Wu, A. Banta, M. John, A. Post, M. Razavi, J. Cavallaro, B. Aazhang, and Y. Lin

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI), 2022

VLSI'22 | Paper | Slides

X. Chen*, Y. Zhao*, Y. Wang, P. Xu, H. You, C. Li, Y. Fu, Z. Wang, and Y. Lin

IEEE Transactions on Neural

Networks and Learning Systems (TNNL), 2021

(*Co-first author)

TNNL'21 | Paper 

Y. Zhao*, X. Chen*, Y. Wang, C. Li, H. You, Y. Fu, Y. Xie, Z. Wang, and Y. Lin

(*Co-first author)

47th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2020

ISCA'20 | Paper | Video | Slides

Y. Zhao, C. Li, Y. Wang, P. Xu, Y. Zhang, and Y. Lin

45th IEEE International Conference on Acoustics, Speech, and Signal Processing (ICASSP), 2020

ICASSP'20 | Paper | Slides 

H. Wang*, Y. Zhao*, C. Li, Y. Wang, and Y. Lin

(*Co-first author)

2020 IEEE International Symposium on Circuits and Systems (ISCAS), 2020

ISCAS'20 | Paper  

Y. Zhao*, X. Hu*, S. Li, J. Ye, L. Deng, Y. Ji, J. Xu, D. Wu, and Y. Xie

(*Co-first author)

2019 Design, Automation and Test in Europe Conference and Exhibition (DATE), 2019

DATE'19 | Paper 

Y. Zhao, Y. Shen, P. Xue, Z. Ma, Z. Peng, B. Chen, and Z. Hong

IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 24, no. 4, pp. 1402–1411, 2015

TVLSI'15 | Paper 

Y. Zhao, B. Y. Liu, and Z. Hong

10th IEEE International Conference on ASIC (ASICON), 2013

ASICON'13 | Paper 

Collaborated Publications

S. Li, C. Li, W. Zhu, B. Yu, Y. Zhao, C. Wan, H. You, H. Shi, and Y. Lin,

50th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2023

ISCA'23 | Paper 

H. You, Z. Sun, H. Shi, Z. Yu, Y. Zhao, Y. Zhang, C. Lli, B. Li, and Y. Lin

29th IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2023

HPCA'23 | Paper 

H. Shi, H. You, Y. Zhao, Z. Wang, and Y. Lin

41st IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022 

ICCAD'22 | Paper 

Y. Fu, Y. Zhao, Q. Yu, C. Li, and Y. Lin

54th IEEE/ACM International Symposium on Microarchitecture (MICRO), 2021

MICRO'21 | Paper 

C. Li, Z. Yu, Y. Fu, Y. Zhang, Y. Zhao, H. You, Q. Yu, Y. Wang, C. Hao, and Y. Lin

International Conference on Learning Representations (ICLR), 2021

ICLR'21 | Paper 

W. Li, P. Xu, Y. Zhao, H. Li, Y. Xie, and Y. Lin

47th IEEE/ACM International Symposium on Computer Architecture (ISCA), 2020

ISCA'20 | Paper 

P. Xu, X. Zhang, C. Hao, Y. Zhao, Y. Zhang, Y. Wang, C. Li, Z. Guan, D. Chen, and Y. Lin

28th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA), 2020

FPGA'20 | Paper  

X. Hu, Y. Zhao, L. Deng, L. Liang, P. Zuo, J. Ye, Y. Lin, and Y. Xie,

IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 40, no. 6, pp. 1230–1243, 2020

TCAD'20 | Paper 

Y. Fu, H. You, Y. Zhao, Y. Wang, C. Li, K. Gopalakrishnan, Z. Wang, and Y. Lin

Advances in Neural Information Processing Systems (NeurIPS), 2020

NeruIPS'20 | Paper  

Y. Wang, Z. Jiang, X. Chen, P. Xu, Y. Zhao, Y. Lin, and Z. Wang

Advances in Neural Information Processing Systems (NeurIPS), 2019

NeruIPS'19 | Paper  

X. Cheng, Y. Zhao, H. Zhao, and Y. Xie

55th ACM/ESDA/IEEE Design Automation Conference (DAC), 2018

DAC'18 | Paper  

Y. Wang, T. Nguyen, Y. Zhao, Z. Wang, Y. Lin, and R. Baraniuk

Advances in Neural Information Processing Systems (NeurIPS workshop), 2018

NeurIPS workshop'18 | Paper